メディア

ムーアの法則、28nmが“最後のノード”となる可能性もビジネスニュース オピニオン(2/2 ページ)

» 2014年03月24日 12時09分 公開
[Zvi Or-Bach,MonolithIC 3D]
前のページへ 1|2       

SRAMセルのスケーリングも重要に

 ただし、SoCの面積は、トランジスタ密度よりもSRAMセルのサイズに依存するところが大きい(関連記事:微細化に堪え得るオンチップSRAM)。そして、SRAMセルのスケーリングは、28nm以降は大幅に低下するのだ。28nmでは、ビットセルのサイズは約0.12μm2である。下の図は、ベルギーIMECが、2013年10月の「ロジック/メモリ製品ロードマップのアップデート」で発表したものだ。

(クリックで拡大)

 28nm以降では、SRAMのビットスケーリングの比率は、ノード当たり約20%になる。28nmまでは50%が一般的だった。だが、下記の図にある通り、実際の比率はさらに低い。この図は、Cypress Semiconductors メモリ製品部門のCTOを務めるDinech Maheshwari氏が、「ISSCC 2014」の招待論文で示したものだ。

(クリックで拡大)

 さらに、下図で示す通り、配線工程(BEOL)のRC遅延も微細化に伴って増すばかりだ。この図は、Qualcommの技術部門でバイスプレジデントと務めるGeoffrey Yeap氏が、「IEDM 2013」の論文で掲載したものである。

(クリックで拡大)

 これらをまとめると、多くのSoCにとって、28nmが“コストを最小限に抑える”ノードになるということが分かる。現在、半導体業界は、「微細化=低コスト化ではない」というパラダイムシフトに直面している。これを考慮すれば、IEEEが、SOI(Silicon On Insulator)のカンファレンスを拡張し、「IEEE S3S(SOI technology, 3D Integration, and Subthreshold Microelectronics)」に名称を改めたことにも納得がいく。

 IEEE S3S 2014は、10月6〜9日に米国サンフランシスコで開催される予定だ。これまで主流技術ではなかったSOIや3次元積層技術について、多くの情報を得られるよい機会となるだろう。

【翻訳:滝本麻貴、編集:EE Times Japan】

前のページへ 1|2       

Copyright © ITmedia, Inc. All Rights Reserved.

RSSフィード

公式SNS

All material on this site Copyright © ITmedia, Inc. All Rights Reserved.
This site contains articles under license from AspenCore LLC.